From a22e5741d87fda441ea34aa4ac12384939494fa0 Mon Sep 17 00:00:00 2001 From: Georgi Gerganov Date: Wed, 26 Oct 2022 23:19:58 +0300 Subject: [PATCH] Add helper script to benchmark all models Simply run: $ ./extra/bench-all.sh --- extra/bench-all.sh | 53 ++++++++++++++++++++++++++++++++++++++++++++++ 1 file changed, 53 insertions(+) create mode 100755 extra/bench-all.sh diff --git a/extra/bench-all.sh b/extra/bench-all.sh new file mode 100755 index 0000000..5a5e602 --- /dev/null +++ b/extra/bench-all.sh @@ -0,0 +1,53 @@ +#!/bin/bash + +# Helper script to run the bench tool on all models and print the results in share-able format + +printf "Usage: ./bench.sh [n_threads]\n" + +if [ -z "$1" ]; then + n_threads=4 +else + n_threads=$1 +fi + +models=( "tiny" "base" "small" "medium" "large" ) + +printf "\n" +printf "Running benchmark for all models\n" +printf "This can take a while!\n" +printf "\n" + +printf "| CPU | OS | Config | Model | Threads | Load [ms] | Encode [ms] |\n" +printf "| --- | -- | ------ | ----- | ------- | --------- | ----------- |\n" + +for model in "${models[@]}"; do + # run once to heat-up the cache + ./bench -m ./models/ggml-$model.bin -t $n_threads 2>/dev/null 1>/dev/null + + # actual run + # store stderr output in a variable in order to parse it later + output=$(./bench -m ./models/ggml-$model.bin -t $n_threads 2>&1) + + # parse the output: + load_time=$(echo "$output" | grep "load time" | awk '{print $5}') + encode_time=$(echo "$output" | grep "encode time" | awk '{print $5}') + system_info=$(echo "$output" | grep "system_info") + n_threads=$(echo "$output" | grep "system_info" | awk '{print $4}') + + config="" + + if [[ $system_info == *"AVX2 = 1"* ]]; then + config="$config AVX2" + fi + + if [[ $system_info == *"NEON = 1"* ]]; then + config="$config NEON" + fi + + if [[ $system_info == *"BLAS = 1"* ]]; then + config="$config BLAS" + fi + + printf "| | | $config | $model | $n_threads | $load_time | $encode_time |\n" +done +